PicoBlaze

PicoBlazeとは



PicoBlazeは、ザイリンクス社が提供するFPGA(Field Programmable Gate Array)およびCPLD(Complex Programmable Logic Device)向けのソフトプロセッサコアです。8ビットRISC(Reduced Instruction Set Computer)アーキテクチャに基づいており、FPGAのVirtex 4シリーズ上で最大100MIPS(百万命令毎秒)の処理能力を発揮することができます。このプロセッサは、8ビットのアドレスとデータポートを備えており、広範囲な周辺機器との柔軟な通信を可能にします。

特徴



8ビットRISCアーキテクチャ: シンプルな命令セットで高速な処理を実現します。
高性能: Virtex 4シリーズ上で100MIPSの処理能力を達成します。
豊富な周辺機器アクセス: 8ビットアドレスとデータポートで、多様な周辺機器と接続可能です。
無償ライセンス: ザイリンクスデバイス上での利用は無償です。
開発環境の提供: ザイリンクスから開発ツールが提供されており、容易に開発を開始できます。
サードパーティーツールの利用: Mediatronixなどのサードパーティーからもツールが提供されています。

PacoBlaze



PicoBlazeには、BSDライセンスで公開されているオープンソースの派生プロジェクト、PacoBlazeが存在します。PacoBlazeは、ビヘイビア合成によって実装されており、ザイリンクスのPicoBlazeコアに依存しないデバイス非依存の設計となっています。これにより、PicoBlazeのアーキテクチャを他のプラットフォームでも利用することが可能になります。

歴史



PicoBlazeの設計は、当初「Constant(K) Coded Programmable State Machine(KCPSM)」と呼ばれていました。その前身は、「ケンチャップマンのPSM」として知られていました。ケン・チャップマンは、ザイリンクスのシステムデザイナーであり、PicoBlazeの考案と実装を担当しました。

VHDLでの実装



VHDL(VHSIC Hardware Description Language)でPicoBlazeマイクロコントローラを実装する際には、KCPSMのコンポーネント名を使用する必要があります。以下は、PacoBlaze3プロセッサのコンポーネント宣言の例です。

vhdl
component kcpsm3 is
port (
address : out std_logic_vector(9 downto 0);
instruction : in std_logic_vector(17 downto 0);
port_id : out std_logic_vector(7 downto 0);
write_strobe : out std_logic;
out_port : out std_logic_vector(7 downto 0);
read_strobe : out std_logic;
in_port : in std_logic_vector(7 downto 0);
interrupt : in std_logic;
interrupt_ack : out std_logic;
reset : in std_logic;
clk : in std_logic
);
end component;


関連情報



関連項目

PacoBlaze
LatticeMico8
LatticeMico32
MicroBlaze
Nios
Nios II

外部リンク

PicoBlaze on the Xilinx website
PicoBlaze product brief
PicoBlaze user manual
TechXclusives: Creating Embedded Microcontrollers (Programmable State Machines) PDF
PicoBlaze user resources
Professional IDE for Linux and Windows
Mediatronix free FPGA tools
Free Linux IDE
* PacoBlaze: a synthesizable and behavioral Verilog clone of PicoBlaze

もう一度検索

【記事の利用について】

タイトルと記事文章は、記事のあるページにリンクを張っていただければ、無料で利用できます。
※画像は、利用できませんのでご注意ください。

【リンクついて】

リンクフリーです。