PicoBlazeの設計は、当初「Constant(K) Coded Programmable State Machine(KCPSM)」と呼ばれていました。その前身は、「ケンチャップマンのPSM」として知られていました。ケン・チャップマンは、ザイリンクスのシステムデザイナーであり、PicoBlazeの考案と実装を担当しました。
vhdl
component kcpsm3 is
port (
address : out std_logic_vector(9 downto 0);
instruction : in std_logic_vector(17 downto 0);
port_id : out std_logic_vector(7 downto 0);
write_strobe : out std_logic;
out_port : out std_logic_vector(7 downto 0);
read_strobe : out std_logic;
in_port : in std_logic_vector(7 downto 0);
interrupt : in std_logic;
interrupt_ack : out std_logic;
reset : in std_logic;
clk : in std_logic
);
end component;